from:http://www.csee.umbc.edu/portal/help/VHDL/
Using Cadence VHDL on CSEE machine
Compact Summary of VHDL
Printable Compact Summary of VHDL
Sample VHDL code
VHDL-handbook.pdf
VHDL designers guide
VHDL Cookbook in PostScript
GHDL Download free VHDL compiler and simulator
Download free VHDL compiler and simulator
VHDL intro by Francis Bruno in PostScript
VHDL project by Francis Bruno in PostScript
VCOMP/VSIM from University of Pittsburgh
Using FTL Systems Exploration VHDL
VHDL standard packages and types
FPGA and other CAD information
Draft of IEEE Standard VHDL Language
Other Links
First: You must have an account on a GL machine. Every student
and faculty should have this.
Either log in directly to cadence1.cs.umbc.edu or
Use ssh cadence1.cs.umbc.edu
Be in your login directory, else some files need changing.
You can copy many sample files to your working directory using:
cp /afs/umbc.edu/users/s/q/squire/pub/download/cs411.tar .
There are many files available.
Next: Follow instructions exactly or you figure out a variation.
1) Get this tar file into your home directory (on /afs i.e.
available on all GL machines.)
cs411.tar and then type commands:
cp /afs/umbc.edu/users/s/q/squire/pub/download/cs411.tar .
tar -xvf cs411.tar
cd vhdl
mv Makefile.cadence Makefile
tcsh
source vhdl_cshrc
make
more add32_test.out
make clean # saves a lot of disk quota
Then do your own thing with Makefile for other VHDL files
2) The manual, step by step method (same results as above)
Be in your home directory.
mkdir vhdl # for your source code .vhdl .vhd
cd vhdl
mkdir vhdl_lib # your WORK library, keep hands off
You now need to get the following 6 files into you vhdl directory:
vhdl_cshrc
cds.lib change $HOME to your path if needed
hdl.var
Makefile.cadence for first test
add32_test.vhdl for first test
add32_test.run for first test
Make the following modification to cds.lib :
Edit and replace $HOME with the specific path to your home if needed
directory. e.g. /home/grad4/auser12
mv Makefile.cadence Makefile
# Run the test run:
source vhdl_cshrc
make # should be no error messages
more add32_test.out # it should have VHDL simulation output
make clean
You are on your own to write VHDL and modify the Makefile.
Remember each time you log on:
cd vhdl
tcsh
source vhdl_cshrc
make # or do your own thing.
The above is the latest generation Cadence "ncvhdl, nceval, ncsim"
You can download a free VHDL system form ghdl.free.fr
Follow the instructions for Windows, Linux or MAC OSX
We have tried to get the Linux version onto linux.gl.umbc but
have been unsucessful, it has been installed on personal Linux
machines using root password and installing into /usr/local tree.
The Windows version is a little different, yet it works.
For CMSC 411, you need to do a little extra because GHDL does
not default with some IEEE packages we use.
Using GHDL on your home PC in windows:
Download GHDL from ghdl.free.fr/download.html
click on "installer"
After installing, control panel -> system -> advanced ->
environment variables -> user variables, path, edit
Add to your user path ;C:\"program files"\Ghdl\bin
From a Command prompt window type or use add32_test.bat
rem use GHDL to analyze, elaborate and run add32_test.vhdl
ghdl -a --ieee=synopsys add32.vhdl
ghdl -a --ieee=synopsys add32_test.vhdl
ghdl -e --ieee=synopsys add32_test
ghdl -r --ieee=synopsys add32_test --stop-time=160ns > add32_test.out
Look at file add32_test.out with your favorite editor.
The 160ns is found in the file add32_test.run
On Ubuntu, sudo apt-get install ghdl
to install ghdl.
A Makefile_ghdl compiles first test
and HW4 add32 and part1_start. You can do project with this ghdl.
The "diff" command on Windows is "fc", ignore the few lines at
beginning and end of comparison of .chk files.
First: Read Appendix H of Ashenden's book, p723
The steps are: load the CD, make a registration file,
EMail the file, get back a license file, download the
VHDL analyzer/compiler and simulator.
Then: Follow the Quick Start Guide on page 728.
The following eight PostScript files provide an introduction to VHDL
The above is by Peter Ashenden who now has an updated version out
as books: "The Student's Guide to VHDL" ISBN 1-55860-520-7 and
"The Designer's Guide to VHDL" second edition ISBN 1-55860-674-2
Examples of VHDL from Ashenden's Designer's Guide are here
This is how I downloaded and installed a free VHDL compiler
and simulator on Windows and Linux systems. It is not 100%
compatible with Cadence, Cadence allows a few non standard constructs,
but it works on many circuits and features.
Using browser: www.symphonyeda.com/proddownloads.htm
Choose Windows ~9.3MB or
Linux ~9.3MB
Execute the Windows file, choose 'setup' and follow instructions
untar the Linux version and follow instructions
For CMSC 411 the project check files are .chks for this VHDL.
The following four PostScript files provide
Francis Bruno's introduction to VHDL
The following four PostScript files plus index and .tar.gz
files provide Francis Bruno's VHDL project.
The following PostScript file provides an introduction to VHDL
and instructions for compiling and simulating using vcomp/vsim.
You can get working chips from VHDL using synthesis tools.
One of the quickest ways to get chips is to use FPGA's,
Field Programmable Gate Arrays.
The two companies listed below provide the software and the
foundry for you to design your own integrated circuit chips:
www.altera.com
www.xilinx.com
Complete Computer Aided Design, CAD, packages are available from
companies such as Cadence, Mentor Graphics and Synopsis.
Draft 2000/D3 HERE
Last updated 2/5/09
分享到:
相关推荐
IEEE 2002 VHDL 标准文档,学习VHDL的好东东。
很经典的VHDL语法及使用参考手册 英文原版,共144页
ieee-standard-vhdl-language-reference-manual 最新的vhdl标准手册,是vhdl学习者最好的参考资料!
BOSCH - VHDL Reference CAN - User’s Manual - Rev. 2.2
The VHSIC Hardware Description Language (VHDL) is a formal notation intended for use in all phases of the creation of electronic systems. Because it is both machine readable and human readable, it ...
vhdl黄金手册
This manual describes the VHDL portion of Synopsys FPGA Compiler II / FPGA Express, part of the Synopsys suite of synthesis tools. FPGA Compiler II / FPGA Express reads an RTL VHDL model of a discrete...
VHDL IEEE Reference Manual 1076 2008
IEEE std 1076-2008 Standard VHDL Language Reference Manual, VHDL 2008 IEEE标准文档
IEEE Std VHDL Language Reference Manual.IEEE Std 1076-2002 2002版的VHDL IEEE标准 共309页
IEEE standard VHDL language reference manul 1076
IEEE Std 1076-2008-IEEE Standard VHDL Language Reference Manual。IEEE标准VHDL语言参考手册(英文版)
The VHDL Golden Reference Guide.pdf (255.8 KB) VHDL Programming by Example.4th.Ed.pdf (2.3 MB) VHDL Reference Manual.pdf (1.06 MB) VHDL编程基础.ppt (2.26 MB) VHDL培训教程.PPT (670 KB) ...
FPGA Express(VHDL Reference Manual) VHDL_design_techniques_for_flex_devices.ppt vhdl40个程序.rar vhdl100个例子.rar vhdl-beginner.ppt VHDL编程基础.ppt Vhdl黄金宝典(英文版).pdf VHDL教程.PPT VHDL经典...
关于VHDL的极为通俗易懂的英文原版教程,即“VHDL黄金参考手册”
Vhdl_Golden_Reference_Guide经典的VHDL学习教程,适合初学者使用!
vhdl reference manual.pdf Vhdl_Golden_Reference_Guide.pdf VHDL_TUTORIAL.pdf VHDL-Handbook.pdf